Soviet Union ZX Spectrum CommunityСуббота, 20.04.2024, 10:53
Вы вошли как Гость | Группа "Гости" | RSS
 [ · Новые сообщения · Участники · Правила форума · Поиск · RSS ]
  • Страница 2 из 5
  • «
  • 1
  • 2
  • 3
  • 4
  • 5
  • »
Soviet Union ZX Spectrum Community » ZX-строительство » Железо » Разработка схемы видео-адаптера для ZX-Spectrum
Разработка схемы видео-адаптера для ZX-Spectrum
HazarДата: Понедельник, 19.11.2012, 18:56 | Сообщение # 21
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (color2juk)
У меня тоже платы Ленина нет, а вот Феникс собранный полностью на панельках если что есть wink ! Так что может лучше ориентироваться на Феникс? Если что то хоть смогу что проверить.


Конечно можно главное что-бы все сигналы были доступны


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Понедельник, 19.11.2012, 19:21 | Сообщение # 22
Координатор
Группа: Координаторы
Сообщений: 716
Статус: Offline
Quote (Hazar)
Детектор экрана оригинального ZX-Spectrum


а зачем это нужно?


"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Понедельник, 19.11.2012, 19:27 | Сообщение # 23
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (Black_Cat)
а зачем это нужно?


как это зачем откуда еще брать видео информацию для адаптера


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Понедельник, 19.11.2012, 19:53 | Сообщение # 24
Координатор
Группа: Координаторы
Сообщений: 716
Статус: Offline
дешифрация какая-то странная для экрана

"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Понедельник, 19.11.2012, 20:00 | Сообщение # 25
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (Black_Cat)
дешифрация какая-то странная для экрана


Почему странная? что сложно расшифровывается smile


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Вторник, 20.11.2012, 09:46 | Сообщение # 26
Координатор
Группа: Координаторы
Сообщений: 716
Статус: Offline
нет, непонятно что делает.. зачем-то открывает выходы регистра по нечётным адресам в диапазоне #5800-#5FFF

"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Вторник, 20.11.2012, 15:26 | Сообщение # 27
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (Black_Cat)
нет, непонятно что делает.. зачем-то открывает выходы регистра по нечётным адресам в диапазоне #5800-#5FFF


Придется проверять с помощью счетчиков тогда сразу будет видно (если что найду схему подправлю)

Ну что-то больно сложно получается

Схему пока удалил буду дорабатывать


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Среда, 21.11.2012, 16:47
 
HazarДата: Воскресенье, 25.11.2012, 20:22 | Сообщение # 28
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Новая схема с полной дешифрацией адресов экрана

Прикрепления: 6109845.jpg (330.3 Kb)


Spectrum жив в нашей душе навсегда
 
HazarДата: Воскресенье, 02.12.2012, 20:45 | Сообщение # 29
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
переработанная схема детектора экранной области с определением атрибутов



Добавлено (04.12.2012, 16:51)
---------------------------------------------
Теперь на основе детектора экрана надо разработать схемку для определения конкретного адреса
памяти (то-есть определить куда именно сохранять байт на какой адрес, который должен быть записан в экранную
область по активации сигнала WRITE CPU)
Прикрепления: 3271078.jpg (377.4 Kb)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Вторник, 04.12.2012, 16:53
 
HazarДата: Вторник, 04.12.2012, 16:52 | Сообщение # 30
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Примерно год назад я предлагал схему видео-вывода (совместно со схемой формирователя строчной синхронизации)
на основе линии задержки. Значительный недостаток этой схемы в том что времена задержки микросхем логики
могут отличатся от документированных значений, и на практике эту схему довольно тяжело настраивать.
К тому-же с прогревом деталей или платы времена задержки могут изменится.

Поэтому я предлагаю другую простую схему видео-вывода на основе мультиплексора (подобного КП15 32 mHz) и счетчика,
единственным недостатком этой схемы является высокая рабочая частота для счетчика (64 mHz)

Прикрепления: 8549366.jpg (366.7 Kb)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Вторник, 04.12.2012, 16:52
 
HazarДата: Среда, 12.12.2012, 17:57 | Сообщение # 31
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Очередная доработка схемки детектора экрана теперь это законченное устройство
для определения адресов экранной области.

Работать схема должна следующим образом: как только CPU (ZX Spectrum) выставляет очередной адрес
на своей адресной шине (и если этот адрес попадает в диапазон экрана 16384-23296)

то происходит сохранение текущего адрес в регистрах (1531ир23) при этом анализируется
состояние младших разрядов шины адреса с целью своевременного обновления сохраняемых адресов

в дальнейшем эти адреса позволяют сохранить данные экрана в видео-память стороннего устройства



схема пока является чисто теорией и на практике не проверялась

Добавлено (12.12.2012, 17:57)
---------------------------------------------
С помощью последней предложенной схемой уже можно делать видео-вывод изображения

но для получения полноценной картинки 1024*768 этого мало, дело в том что требуется "расширение"
адресного пространства (каждый байт должен быть представлен уже как 4 байта, а каждый бит должен быть расширен до 4)
а не просто оригинальная копия экрана

как это сделать наиболее оптимально? короче говоря есть над чем поломать голову
Прикрепления: 7700911.gif (377.9 Kb)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Среда, 12.12.2012, 18:00
 
color2jukДата: Пятница, 14.12.2012, 14:28 | Сообщение # 32
10h
Группа: Пользователи
Сообщений: 22
Статус: Offline
Quote (Hazar)
Прикрепления: 7700911.gif(378Kb)
Короче эту "часть" схемы мона считать завершенной и вытравливать платку ввиде отдельного модуля, пока будут придумываться полноценности?
 
HazarДата: Пятница, 14.12.2012, 15:03 | Сообщение # 33
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (color2juk)
Короче эту "часть" схемы мона считать завершенной и вытравливать платку ввиде отдельного модуля, пока будут придумываться полноценности


нет думаю пока рано, но проверить работоспособность можно на экспериментальной плате. Кстати не обязательно все регистры запаивать
можно обойтись и двумя


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Пятница, 14.12.2012, 15:04
 
color2jukДата: Пятница, 14.12.2012, 15:11 | Сообщение # 34
10h
Группа: Пользователи
Сообщений: 22
Статус: Offline
Quote (Hazar)
Кстати не обязательно все регистры запаивать можно обойтись и двумя
Какие именно регистры необязательны(атрибутные?) и куда с них выходы сажать?


Сообщение отредактировал color2juk - Пятница, 14.12.2012, 15:12
 
HazarДата: Пятница, 14.12.2012, 15:27 | Сообщение # 35
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (color2juk)
Какие именно регистры необязательны(атрибутные?) и куда с них выходы сажать?


если только проверять работу схемы можно распаять "пиксельные" регистры и посмотреть есть ли изменения
информации на выходе потом отбросить два провода идущие на выводы регистра 1, 11
и подать на них сигналы U11B и дешифратора U2 (дешифратор аттрибутов) и опять проверить меняется ли
информация на выходах регистров

Поверить можно из бейсика например записать значение 255 на адрес 16384 (на шине данных должно появится 11111111)
а на регистре пикселов должно записаться 0100 0000 0000 0000 кратковоременно

и куда с них выходы сажать . выходы подключают на адресную шину статической памяти (VRAM ) например на микросхему

K6T4008C1C-GF70 512*8 я еще пока не проработал вопрос как лучше организовать адресное пространство видео-адаптера

можно использовать еще и такую K6T1008C2E-GF70 Samsung sram 128k*8 5v


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Пятница, 14.12.2012, 16:05
 
color2jukДата: Пятница, 14.12.2012, 15:41 | Сообщение # 36
10h
Группа: Пользователи
Сообщений: 22
Статус: Offline
Quote (Hazar)
K6T4008C1C-GF70 512*8
А мона что нибудь поскромнее типа 61LV256(32x8)? Как раз 15 адресов(A0...A14)
Прикрепления: IS61LV256.pdf (105.1 Kb)


Сообщение отредактировал color2juk - Пятница, 14.12.2012, 15:44
 
HazarДата: Пятница, 14.12.2012, 15:47 | Сообщение # 37
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (color2juk)
А мона что нибудь поскромнее типа 61LV256(32x8)?


эта микросхема на 3,3 в придется ставить преобразователь уровня или уменьшить напряжения с помощью резисторов

а вообще объем маловат но если у вас их много можно сделать и на них ориентируйтесь на 256 или лучше на 512 кВ



61LV256(32x8) еще микросхемы с таким объемом имхо можно использовать для хранения атрибутов, сделать
только из них по 16 bit на выходе или даже 32 . Такая организация удобная для расширения цвета
а адресное пространство оставить как есть
Прикрепления: 0964227.jpg (144.8 Kb)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Суббота, 15.12.2012, 12:57
 
HazarДата: Суббота, 15.12.2012, 20:38 | Сообщение # 38
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Предварительная схема видео-контроллера с блоком видео-вывода (pixel_clock)
и включением статической памяти

осталось доделать управляющие сигналы для регистров и памяти

$IMAGE1$

схемку пока удалил на доработку


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Понедельник, 17.12.2012, 16:28
 
color2jukДата: Воскресенье, 16.12.2012, 21:28 | Сообщение # 39
10h
Группа: Пользователи
Сообщений: 22
Статус: Offline
Quote (Hazar)
доделать управляющие сигналы для регистров

Наверное имелось ввиду не регистров а счетчиков (ИЕ10) U1,U3...U5 и Мультплексоров (КП11) U12...U14?

Выводы 5 и 6 U7 это наверное кадровая и строчная синхронизации?

Добавлено (16.12.2012, 21:28)
---------------------------------------------

Quote (Hazar)
сделать только из них по 16 bit на выходе
Прям как KickFlash на Амиге smile


Сообщение отредактировал color2juk - Воскресенье, 16.12.2012, 21:21
 
HazarДата: Понедельник, 17.12.2012, 18:43 | Сообщение # 40
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (color2juk)
Наверное имелось ввиду не регистров а счетчиков (ИЕ10) U1,U3...U5 и Мультплексоров (КП11) U12...U14?

Выводы 5 и 6 U7 это наверное кадровая и строчная синхронизации?


Нет счетчики на последней схеме никакого отношения к схеме синхронизации не имеют
это другие счетчики именно для видео-контроллера (но видео-контроллеру нужны уже готовые сигналы разверток
это смесь строчных гасящих и кадровых импульсов на схеме неправильно подписал ССИ а надо СГИ )

счетчики включены по классической схеме с синхронным переносом
схему потом подправлю (пока это не важно) так как еще не решил проблему с арбитром

Про мультиплексоры это верно заметили (управляющие импульсы будут формироваться отдельным устройством)
то-же самое относится к регистрам называется это устройство арбитр памяти или как по функциональной схеме (Manager -Screen)

Добавлено (17.12.2012, 18:02)
---------------------------------------------
Quote (color2juk)
сделать только из них по 16 bit на выходе
Прям как KickFlash на Амиг


Ну а чем Spectrum хуже если постараться будет даже лучше чем Амига

Следует только помнить что при объединении многих адресных входов растет входная емкость микросхем памяти,
но если все делать в меру все нормально будет работать

Добавлено (17.12.2012, 18:43)
---------------------------------------------
Еще несколько заметок по видео-контроллеру:

Жестко последовательная работа видео-контроллера накладывает свои требования к управляющим
сигналам и временным характеристикам всего устройства в целом например чтобы обеспечить
одновременный доступ к VRAM в пределах рабочего такта
видео-контроллера (для вывода изображения) и "детектора экрана"
для сохранения оригинальной картинки в VRAM (требуется время выборки SRAM около 60 nS расчетное значение)

Если-же еще обеспечивать доступ к памяти третьему устройству то потребуется SRAM со временем доступа в
районе 20--30 nC или нужно искать другие схемные решения (сейчас я пока остановился на выборе что
устройство интерполяции будет работать с памятью только во время обратного хода кадровой развертки)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Понедельник, 17.12.2012, 18:51
 
Soviet Union ZX Spectrum Community » ZX-строительство » Железо » Разработка схемы видео-адаптера для ZX-Spectrum
  • Страница 2 из 5
  • «
  • 1
  • 2
  • 3
  • 4
  • 5
  • »
Поиск:

Copyright MyCorp © 2006Сайт управляется системой uCoz