Soviet Union ZX Spectrum CommunityСреда, 17.04.2024, 00:37
Вы вошли как Гость | Группа "Гости" | RSS
 [ · Новые сообщения · Участники · Правила форума · Поиск · RSS ]
  • Страница 1 из 1
  • 1
Soviet Union ZX Spectrum Community » ZX-строительство » Концепции » Перевод ZX Spectrum на новый CPU
Перевод ZX Spectrum на новый CPU
HazarДата: Вторник, 13.12.2011, 19:51 | Сообщение # 1
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Естественно проц должен быть совместим с кодами Z80
совместимые с Z80:
• Z80180 — 4 набора регистров общего назначения, устройство управления памятью.
• Z280 — 16-битный микропроцессор.
• Z380 — 32-битный микропроцессор.

Добавлено (13.12.2011, 19:28)
---------------------------------------------
Также есть более новые решения фирмы Zilog

МИКРОПРОЦЕССОРНОЕ СЕМЕЙСТВО
Z80000.

32-битный ЦП Z80000 совместим вверх с 16-битным микропроцессорами
семейства Z8000 (Z8001 и Z8002).

У кого есть хоть какой опыт применения ?

Добавлено (13.12.2011, 19:32)
---------------------------------------------
Микропроцессор Z80000, как и его 16-битные предшедственники,
работает с процессорами расширения EPU (т.е. сопроцессорами).
Сопроцессор с плавающей точкой Z8070 функционирует с 16- и 32-
битными ЦП. К Z80000 можно подключать и другие вспомогательные
микросхемы, например контроллер DMA Z8016. Для соединения компо-
нентов в большие конфигурации фирма Zilog использует свой
стандарт, называемый Z-шиной.

Добавлено (13.12.2011, 19:46)
---------------------------------------------
Упоминался также в сети некий проц Rabbit , также совместимый по коду с Z80
но подробной информации я по нему так нигде пока не нашел

Добавлено (13.12.2011, 19:51)
---------------------------------------------
:http://ru.wikipedia.org/wiki/Zilog
Хронология производства процессоров
Zilog Z80 (1976 год)
Zilog Z8000 (1978 год)
Zilog Z8 (1979 год)
Zilog Z800 (1985 год)
Zilog Z80000 (конец 1985 год)
Zilog Z280 (начало 1986 год)
Zilog Z180 (конец 1986 год)
Zilog Z380 (1994 год)
Zilog eZ80 (2001 год)

а вот инфа с др, форума

Quote
Единой стратегии развития семейства Zilog никогда не придерживался, есть куча веток, но выше Z180 они не пересекаются: Z80>Z800>STOP; Z80>Z180>Z380>STOP; Z80>Z180>eZ80>?. С Z280 не знаю есть ли связь с Z180, подробно не разбирался, ибо недоставаемы, актуально не было. По поводу развития префиксов опять же у Zilog не было единой стратегии: в Z280 есть префиксы: #DD#ED, #FD,#ED; в Z380 префиксы #ED,#CB; в eZ80 по крайней мере префикс #ED,#CB уже невозможен, эта комбинация отведена команде.


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Четверг, 15.12.2011, 01:39 | Сообщение # 2
Координатор
Группа: Координаторы
Сообщений: 713
Статус: Offline
При переводе ZX Spectrum на другой процессор необходимо учитывать несколько моментов:

1) Главное - переход на другой процессор для ZX Spectrum ни в коем случае не является самоцелью.

2) Желательно что бы выбранный процессор имел реализацию в FPGA. В противном случае процессор должен выбираться из реально выпускаемых сейчас.


"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Четверг, 15.12.2011, 17:16 | Сообщение # 3
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote
Главное - переход на другой процессор для ZX Spectrum ни в коем случае не является самоцелью.


Что-же тогда являтся главной целью : старые коцепции заложенные создателем. не усторели ли они?
//**************************************************************************************//
Поддержка носталгии в лице старых игр ?

А может быть открытая понятная и простая архитектура ZX Speccy
которая давала простор свободному творчеству народа?

Добавлено (15.12.2011, 17:16)
---------------------------------------------
Какое разрешение экрана на ваш взгляд необходимо для Spectrum


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Пятница, 16.12.2011, 00:45 | Сообщение # 4
Координатор
Группа: Координаторы
Сообщений: 713
Статус: Offline
Quote (Hazar)
Что-же тогда являтся главной целью


smile главное - чтоб то, что получилось оставалось Спектрумом smile

Quote (Hazar)
Поддержка носталгии в лице старых игр ?


а как иначе? smile Спектрум, не поддерживающий спековский софт? smile

Quote (Hazar)
А может быть открытая понятная и простая архитектура ZX Speccy которая давала простор свободному творчеству народа?


открытая и понятная может, а вот простая вряд ли smile

Quote (Hazar)
Какое разрешение экрана на ваш взгляд необходимо для Spectrum


кратное 256 х 192, ну может ещё кратное 384 х 256 smile


"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Пятница, 16.12.2011, 16:37 | Сообщение # 5
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Quote (Black_Cat)
кратное 256 х 192, ну может ещё кратное 384 х 256


А подробнее почему это разрешение было выбрано (и как быть со цветом)

Спрашиваю именно в этой теме так как обработка графики
имеет непосредственное отношение к выбору CPU

Добавлено (16.12.2011, 16:34)
---------------------------------------------
Похоже все уже разработано до нас wacko , кто знает что это
----------------------------------------------------------------
EZ80P

EZ80P PCB - Click to download project archive
The EZ80P is an original open source 8-bit hobby computer I designed based on the Zilog EZ80L92 CPU and a Spartan 3 XC3S200 FPGA.

I dont really think of this as a successor to the V6Z80P- it’s just different.. For example, the board is more expandable, having several pin headers dotted about and is better equipped for VGA resolution output. At 50MHz, the eZ80 CPU is faster than the Z80 and it has a 24-bit address bus so can access 16MB of address space without paging thanks to an enhanced Z80 instruction set. On the other hand, the EZ80P is less suited to emulating classic 8-bit systems since the CPU (actually it is more of an MPU) is not 100% compatible with the original Z80 (its peripherals are hardwired to certain ports, there’s different interrupt handling etc). It does run standard Z80 code however, in 64KB pages.

The EZ80 chip has lots of general purpose port pins - I’ve routed 12 of these to the DSUB-9 joystick ports at the front, but they can be reconfigured as outputs for general hobbyist tinkerings (there is a pin header for the other unused IO pins).

For on-board memory there are three 512KB (4Mbit) 10ns SRAMs, one is connected to the eZ80 bus (and FPGA) and the other two are completely separate, connected directly to FPGA pins. (The third SRAM’s pins are also connected to pin headers, meaning the relevant FPGA pins could be used for general purpose I/O if desired).

For PC communications I’ve used an FT232RL USB-to-Serial chip and a standard USB socket. The Ez80P is treated as a virtual com port by a host PC (there are drivers for Windows, Linux & Mac).

Like the V6Z80P, I’ve made my own default FPGA config (cheekily called AMEOBA – Another of My Eight Bit Architectures) and Command Line Operating system. So far AMOEBA only supports VGA output (no TV-out yet) and as I dont have a decent camcorder demonstration videos are not easy to make. So for the time being here’s run down of the project’s features.. Full documentation, source code etc is in the project archive. Applications can be developed using Zilog’s free ZDS II software (which includes support for C programming)

Fits nicely into a case this time smile
Availabilty: 15th November 2011: I have enough components to build a couple more EZ80Ps - drop me a line at the address below if interested and I’ll set about putting them together.. Price is £79 GBP uncased or £89 cased (as shown on the right), that’s plus postage and cross-currency Paypal transaction fee (3.9% not applicable to those in the UK).. Please bear in mind this is a One-Geek-And-A-Soldering-Iron-Production, IE: made purely as a hobby as time allows – I make next to nothing in profit on these.

EZ80P Features:

Spartan3 XC3S200 FPGA
50MHz EZ80L92 CPU (clock supplied by FPGA)
25MHz system clock (can be doubled by FPGA for CPU)
512KB CPU bus SRAM #1, 10ns
512KB SRAM #2, 10ns (independent bus 1, direct connection to FPGA)
512KB SRAM #3, 10ns (independent bus 2, direct connection to FPGA and header pins)
4MB EEPROM (holds up to 32 FPGA configs or other data)

Connectors:

15 pin Standard VGA connector (12bit RGB colour)
Stereo 3.5mm audio socket
SD Card connector with access LED
PS/2 Mouse Connector
PS/2 Keyboard Connector
USB Connector (FT232RL Virtual Com Port: RX,TX,CTS,RTS implemented)
2 x DSUB-9 Joystick connectors / General purpose eZ80 IO ports (each: 6 pins IO, 5V/3.3V and GND)
2032 lithium battery holder for RTC backup



Default Operating System PROSE – “Phil’s Rudimentary Operating System Experiment”

A simple command line / debug / freezer-cartridge-like OS.. thing (based on the V6Z80P’s FLOS)
Supports Z80/ADL code (Z80 code: 64KB pages)

Default Architecture: AMOEBA – “Another (of) My Original Eight Bit Architectures”

Bitmap chunky mode: 640×480, 320×480, 640×240, 320×240 in 16 or 256 colours
Tile map mode : 640×480, 320×480, 640×240, 320×240 in 256 colours
Text mode: Resolutions as above, 16 colour char+attribute mapping.
Sprites : 256 colours, 16 pixels wide/ any height, 128 sprite registers, 40 per scan line
Video Output: VGA 60Hz

Audio: 8 sound channels (4 to left side, 4 to right side) plays 8-bit signed samples (individual frequency,volume, start, length registers)

Pin Headers:

40 pin : Main bus / memory expansion (MREQ, IOREQ, RD, WR, a control line from FPGA,A0-A23,D0-D7 plus 3.3v & GND)
14 pin : EZ80 unused port pins (PB1-PB7,SDA,SCK,PHI, plus 3.3v + GND)
16 pin : 14 FPGA IO pins (also used for SRAM3) plus 3.3v & GND
20 pin : 16 FPGA IO pins (also used for SRAM3) plus 3.3v & GND * 2
2 pin : Spare FPGA IO pin 1 plus GND
2 pin : Spare FPGA IO pin 2 plus GND
6 pin : External Reset/Status LEDs
2 pin : External Soft power button.
2 pin : SD card access external LED
6 pin : Standard JTAG connector (TMS,TDI,TDO,TCK,GND,3.3v)
3 pin : Joystick / IO pin voltage/pull-up select: 3.3v or 5v

Misc:

CPU clock, NMI, WAIT, BUSREQ controlled direct from FPGA pins.
Maskable interrupt line connected from FPGA to eZ80P PB0.

Добавлено (16.12.2011, 16:37)
---------------------------------------------


Spectrum жив в нашей душе навсегда
 
Black_CatДата: Суббота, 17.12.2011, 22:39 | Сообщение # 6
Координатор
Группа: Координаторы
Сообщений: 713
Статус: Offline
Quote (Hazar)
почему это разрешение было выбрано (и как быть со цветом)


У Спектрума разрешения обязаны быть кратными его родному экрану - это условие эволюционного развития архитектуры, иначе это уже не будет Спектрум. Существует два способа выбора кратности базового экранного разрешения - кратность по оригинальному разрешению, и кратность по объёму оригинального экранного ОЗУ. Отсюда, эволюционным методом можно получить два базовых экранных разрешения:
- 256 х 192, из которого получаем сетку кратных разрешений по горизонтали и вертикали, со структурой экрана аналогичной оригиналу
- 384 х 256 - базовое разрешение полученное путём масштабирования объёма экранного ОЗУ в пределах стандартной спектрумовской страницы памяти. Это - новое разрешение, имеющее структуру экрана отличающуюся от оригинальной, но при этом такой базовый экран вписываеся в сетку размещения видео ОЗУ Спектрума - т.е. в нечётных страницах памяти. При этом каждая страница видео ОЗУ делится на две половины по 8кб, со стандартным для Спектрума распределением адресного пространства отведённого под растр и атрибуты. В данном случае левая половина экрана находится в младших 8кб страницы ОЗУ, а правая половина экрана - в старших 8кб. При этом в каждой 8кб полустранице, сначала идёт 6кб растра с адресацией по колонкам, а затем 768 байт атрибутов с аналогичной адресацией.

Quote (Hazar)
кто знает что это


smile это - неспектрум smile


"Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
"Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
Гарри Трумэн
 
HazarДата: Воскресенье, 05.05.2013, 12:59 | Сообщение # 7
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Размер экрана в ZX Spectrum составляет 256 точек по горизонтали и 192 по вертикали.

256*192=49152 бит или 6144 байт (массив точек)

Начало адресного пространства экрана идет с адреса D16384 (#4000 шестнадцатеричная сист)

Длина одной строки равна #00FF (D 255) как раз сделано под размер младшего регистра CPU Z80
следующая строчка (по ниже) начинается как раз с #4100 что опять же сделано для удобства программирования
т.е. чтобы перейти на следующую строчку достаточно увеличить на единичку старший разряд

Вывод символов на экран осуществляется в знакоместа 8x8 точек , экран состоит из 24 символьные строки по 32 символа в каждой

Теперь если использовать те же принципы то для 32 разрядного процессора
Можно получить следующую картину и так 16 разр регистры CPU
Превратились в 32 разрядные

Начало экрана с адреса 16384 остается прежним
Длина строки будет #ffff D65536 Вы конечно скажите что это слишком
Много однако не обязательно адресовать все эти точки а принять 64 за один
Пиксел 65536/64 = 1024 пиксела в одной строке . Т.е. что-бы перейти на
Следующий пиксел нам надо просто увеличить значение регистра на 64

Итого получаем новое разрешение экрана 1024x768 пропорции экрана 4к3
Как и было 256/192 =1,333333333 , 1024/768 = 1,3333333

Добавлено (18.12.2011, 13:38)
---------------------------------------------
Что делать с атрибутами я пока особо не придумал напишу пока как это было
сделано изначально.

Начальный адрес для атрибутов был D 22528 . Атрибуты экрана
занимали 768 байт или 6144 бит

(цвет тона, цвет фона, яркость и мерцание) задаются не для отдельной точки, а для знакоместа. Каждому знакоместу в
области атрибутов соответствует один байт, в котором:

Биты DO...D2 определяют цвет тона;
Биты D3...D5 определяют цвет фона;
Бит D6 когда 1 то режим повышенной яркости (задается одновременно и для тона, и для фона);
Бит D7 когда 1 то режим мерцания.

комбинации состояний 3 бит (D0 D1 D2) давали в общей сумме 8 Цветов для тона и 8 цветов для фона
итого получали с некоторой натяжкой 16 цветов , так как еще присутствует бит яркости D6 то можно
сказать что количество оттенков удваивается

Байты атрибутов расположены в памяти последовательно. Первые 32 байта атрибутов соответствуют верхней символьной строке,
следующие 32 — второй символьной строке сверху и далее до конца экрана.

Добавлено (19.12.2011, 17:57)
---------------------------------------------
z380 User manual.pdf
http://disk.tom.ru/dw4n3p7

eZ80 User manual.pdf
http://disk.tom.ru/s7w8t8p

Добавлено (19.12.2011, 20:26)
---------------------------------------------
Z380™
MICROPROCESSOR
FEATURES
s Static CMOS Design with Low-Power Standby Mode s Two-Clock Cycle Instruction Execution Minimum
Option
s Four Banks of On-Chip Register Files
s 32-Bit Internal Data Paths and ALU
s Enhanced Interrupt Capabilities, Including
s Operating Frequency 16-Bit Vector
• DC-to-18 MHz at 5V
• DC-to-10 MHz at 3.3V s Undefined Opcode Trap for Z380™ Instruction Set s Enhanced Instruction Set that Maintains Object-Code s On-Chip I/O Functions:
Compatibility with Z80® and Z180™ Microprocessors - Six-Memory Chip Selects with Programmable Waits
• Programmable I/O Waits
s 16-Bit (64K) or 32-Bit (4G) Linear Address Space - DRAM Refresh Controller
s 16-Bit Data Bus with Dynamic Sizing s 100-Pin QFP Package

ТЕХНИЧЕСКИЕ ХАРАКТЕРИСТИКИ

ОСОБЕННОСТИ Микропроцесса

Статические дизайн CMOS с низким энергопотреблением ожидания
Вариант Режима 32-битной внутренней адресацией данных и ALU
Двух-тактовый цикл выполнения команд
Минимальные четыре банка On-Chip E Регистры Файлы расширенные прерывания возможностей, включая 16-разрядные векторные
Undefined Ловушка для Opcode Z380TM Instruction
Set On-Chip функции ввода / вывода: - Шесть-чип памяти Выбор с программируемым Waits -
Программируемая ввода / вывода Waits - Обновить DRAM контроллер 100-контактный QFP пакет
Рабочая частота - DC до 18 МГц при 5 - DC до 10 МГц при 3,3 В
расширенный набор инструкций, который поддерживает объектно-совместимости кода с Z80 ® и Z180TM Микропроцессоры 16-Bit (64К) или 32-разрядный (4G) Линейное Адресное пространство 16-разрядную шину данных с динамическим размером

ОБЩАЯ ХАРАКТЕРИСТИКА
T он Z380TM микропроцессор встроенный микропроцессор высокопроизводительных с быстрой и эффективной пропускной способностью и увеличенным объемом памяти возможности адресации. Z380TM предлагает продолжающийся рост путь для нынешнего Z80 или Z180 основе дизайна, сохраняя при этом Z80 ® процессор и Z180 ® MPU объектно-код совместимости. Z380TM MPU улучшения включают в себя улучшенный 280 процессоров, расширен 4-Гбайт пространства и гибкие сроки интерфейс шины. Расширенная версия процессора Z80 имеет ключевое значение для Z380 MPU. Основные режимы адресации в микропроцессоре Z80 были дополнены следующим образом: Указатель стека Относительная нагрузок и магазинов, 16-разрядные и 24-битный индексированный смещения и более гибкие Косвенные Регистрация решения, со всеми режимами адресации позволяет доступ ко всему 32 -битное адресное пространство. Дополнения, внесенные в набор инструкций, включают полный набор 16-битных арифметических и логических операций, 16-разрядные операции ввода / вывода, умножать и делить, а также полным набором регистр-регистр нагрузок и обменов. Расширенный базовый файл реестра микропроцессора Z80 MPU включает в себя альтернативные версии реестра IX и IY регистров. Есть четыре набора этого основного микропроцессора Z80 регистрового файла присутствует в Z380 MPU, наряду с необходимыми ресурсами для управления переключением между различными наборами регистров. Все регистры пар и индексных регистров в базовый файл микропроцессора Z80 регистров расширены до 32 бит.
PS010001-0301
Микропроцессорная
ОБЩЕЕ ОПИСАНИЕ (Продолжение)
Z380 MPU расширяет основные 64 Кбайт Z80 и Z180 адресное пространство 4 Гбайт полный (32-разрядная версия) адресного пространства. Это адресное пространство является линейным и полностью доступны для пользователей программы. Области ввода / вывода адрес аналогичным расширена до полного 4 Гбайт (32-разрядная) диапазоном и 16-битный ввод / вывод, и как простые, так и блокировать перемещение добавлены. Некоторые функции, которые традиционно занимаются внешние периферийные устройства были включены в дизайн Z380 микропроцессором. На кристалле периферийных устройств уменьшить количество системе чипа и снизить взаимосвязи с внешней шиной. Z380 MPU содержит контроллер обновления для драм, что работает / CAS-before-/RAS цикл обновления на программируемой скоростью и ворвался размера. Шесть программируемых чипов памяти выбирает доступны, наряду с программируемым ждать состояний генераторов для каждого выбора кристалла диапазон адресов. Z380 MPU предоставляет гибкие сроки интерфейс шины, с отдельными управляющими сигналами и сроки памяти и ввода / вывода Памяти сигналы управления шиной обеспечивают временные ссылки подходящие для прямого подключения к DRAM, статическое ОЗУ, ПЗУ, или ROM. Полный контроль сроков шины памяти возможно потому, что / WAIT сигнал пробы три раза в течение памяти сделки, что позволяет полностью контролировать пользователей от края до края времени между опорными сигналами предоставляемые Z380 MPU. Ввода / вывода сигналов шины управления позволяют прямой интерфейс с членами семьи Z80 периферийных устройств, Z8000 семейства периферийных устройств, или Z8500 Серия периферийных устройств. На рисунке 1 показана блок-схема Z380; рисунке 2 показано назначение контактов.
Все сигналы с косой черты фронт «/», активно Низкий например, B / / W (WORD активно низкий), B / W имеет уровень, только)

Добавлено (04.01.2012, 09:31)
---------------------------------------------
Z380 Microprocessor
The Z380 Microprocessor is an integrated high-performance Microprocessor with fast and efficient throughput and increased memory addressing capabilities. The Z380 offers a con- tinuing growth path for present Z80-or Z180-based designs, while maintaining Z80® CPU and Z180 MPU object-code compatibility. The Z380 MPU enhancements include an improved 280 CPU, expanded 4-Gbyte space and flexible bus Interface Timing An enhanced version of the Z80 CPU is key to the Z380 MPU. The basic addressing modes of the Z80 Microprocessor have been augmented as follows: Stack Pointer Relative loads and stores, 16-bit and 24-bit indexed offsets, and more flexible Indirect Register addressing, with all of the addressing modes allowing access to the entire 32-bit address space. Additions made to the instruction set, include a full complement of 16-bit arithme- tic and logical operations, 16-bit I/O operations, multiply and divide, plus a complete set of register-to-register loads and exchanges. By ZiLOG Semiconductor

Z8038018FSC00TR
ZILOG
Z8038018FSC00TR-ND 450 : $18.18
BUY NOW
0
Tape & Reel
Z8038018FSC
ZILOG
Z8038018FSC-ND 66 : $24.5476
BUY NOW
0
Tray
Z8038018FSG
ZILOG
Z8038018FSG-ND 66 : $23.235
BUY NOW
0
Tray
Part Number Price Availability
Z8038018FSG
ZiLOG
692-Z8038018FSG 66 : $23.56
132 : $18.18
0 : $0.00
0 : $0.00
0 : $0.00
See More


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Воскресенье, 05.05.2013, 13:00
 
HazarДата: Воскресенье, 05.05.2013, 13:02 | Сообщение # 8
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
LCMXO2-2000HC-4MG132C

Перспективное решение отLATTICE    (cpld flash)

для  проектов T80  (z80  на плис) ,микросхемы выполнены в корпусе TQFP 100 , 144

Введение
MachXO2 семейства сверхнизким энергопотреблением,быстрого, энергонезависимой ПЛУ имеет шесть устройств с плотностью от
256 до 6864 Look-Up Tables  (LUTs). Вдополнение к LUT основе, недорогой программируемый логическое устройства имеют
Встроенный блок памяти (EBR), распределеннойоперативной памяти, флэш-памяти
пользователя (UFM), фазовой автоподстройки частоты(ФАПЧ), preengineered
источником поддержки синхронного ввода / вывода,расширенную поддержку
конфигурации в том числе с двойной загрузкой и возможностью
закаленные версии часто используемых функций, такихкак SPI контроллер, I2C контроллера и таймера / счетчика. Эти функции позволяют
этим устройствам быть использован в применений низкой стоимости, для
высокого  объема проектов системы.
MachXO2 устройства разработаны на 65-нмэнергонезависимой низких процесса власти.

MachXO2 устройства доступны в двух вариантах - сосверхнизким энергопотреблением (ZE) и высокая производительность (HC и HE)
устройствами. Ультра маломощные устройствапредлагаются в трех классах скорость -1, -2 и -3, -3 с самой быстрой.
Аналогичным образом, высокопроизводительные устройства предлагаются в трех
классах
скорость: -4, -5 и -6, с -6 является самым быстрым.HC
есть встроенный линейный регулятор напряжения,который поддерживает внешние VCC напряжения питания 3,3 В или 2,5 В. ZE
и Его устройств принимаем только 1.2V в качествевнешнего напряжения питания VCC. За исключением напряжения питания
всех трех типов устройств (ZE, НС и HE),функционально совместимый и контактный совместимы друг с другом.
MachXO2 ПЛУ доступны в широкий спектр современныхгалогенов пакет услуг, от пространства
сохранение 2.5x2.5 мм WLCSP к 23x23 мм FPBGA.MachXO2 устройства поддерживают плотность миграции в пределах одного
пакет. Таблица 1-1 показывает LUT плотности пакетаи ввода / вывода, наряду с другими ключевыми параметрами.
Сборно-разборных источник синхронной логики,реализованной в семье MachXO2 устройство поддерживает широкий спектр
интерфейсных стандартов, включая LPDDR, DDR, DDR2 и7:01 передача для отображения ввода / вывода.
MachXO2 устройства обеспечивают повышениепроизводительности ввода / вывода
функций, таких как Drive Strength, контрольскорости нарастания,
PCI-совместимых
ность, bus-Keeper задвижки, подтягивающие резисторы,выпадающие резисторы, выходы с открытым стоком . Подтягиваний, потяните - вниз
и bus-Keeper функциями являются управляемыми в режиме "на-контактный"
основе.
Программируемая пользователем внутренний генераторвключен в MachXO2 устройств. Часы выход из этого генератор может
делится на таймер / счетчик для использования вкачестве тактового входа в
функции, такие как управление СИД, клавиатурысканер и аналогичные устойства.
MachXO2 устройства также обеспечивают гибкую,надежную и безопасную конфигурацию из встроенной флэш-памяти. Эти устройства
также может конфигурироваться от внешних SPI флэш-память или быть
сконфигурирован внешним мастер через
Порта JTAG доступа или через порт I2C. Кроме того,устройства поддерживают MachXO2 двойной загрузкой возможностями
(с использованием внешней флэш-памяти) и удаленноеобновление поля
(TRANSFR) потенциал.
Решетка обеспечивает разнообразие дизайнаинструментов, которые позволяют
сложные проекты, чтобы быть эффективно реализованыс использованием
MachXO2 семейства устройств. Популярныхинструментов синтеза логики обеспечивают поддержку синтеза библиотека для
MachXO2. Решетка
Средства разработки использовать выход синтезаинструмент наряду с указанными
пользователем предпочтений и ограничений на место и
Маршрут проектирования в MachXO2 устройства. Этиинструменты извлечения времени из технологической и бэк-комментировать его в
дизайн для времени проверки.
Решетка предоставляет множество готовых блоков IP(интеллектуальная собственность) LatticeCORE ™ модулей, в том числе ряд
эталонные конструкции лицензию бесплатно,оптимизированный для MachXO2 семьи PLD. С помощью этих мягких конфигурируемые
ядро IP ядер, как стандартизированные блоки,пользователи могут
сосредоточиться на уникальных аспектах их конструкции,увеличивая их
производительность.

переходник TQFP 100
Прикрепления: 7563977.png (77.3 Kb)


Spectrum жив в нашей душе навсегда

Сообщение отредактировал Hazar - Воскресенье, 05.05.2013, 13:05
 
HazarДата: Среда, 23.12.2015, 16:54 | Сообщение # 9
80h
Группа: Пользователи
Сообщений: 231
Статус: Offline
Добавлено (01.06.2015, 04:05)
---------------------------------------------
Фирма Lattice Semiconductor Corp. Выпускает перспективную серию ПЛИС “MachXO” для замены обычных CPLD не требующих для программирования внешних конфигурационных мик-м, поддерживаются все основные функции сложных программируемых логических ИС (СПЛИС) и вентильных матриц, программируемых пользователем , в одном приборе. Микросхемы основаны на использовании встроенных флэш-памяти и ОЗУ, архитектура кристалла основана на базе LUT (набор типовых ячеек таблиц). Благодаря этому 4 – х входовые LUT приборы получаются на 50% дешевле из расчета на логическую функцию по сравнению с обычными ПЛИС. В версии ‘E’ микросхем с низкой потребляемой мощностью используется логическое ядро с питанием 1,2В. Стабилизатор напряжения, встроенный в кристалл позволило создать версии ‘C’ микросхем с внешним источником питания 3,3В. Каждая ячейка LUT является набором справочных таблиц, которая может использоваться для реализации логики и распределенной памяти небольшой емкости. Массив ячеек окружается гибкой системой Ввода вывода, которая реализует разнообразные популярные стандарты В/В: LVCMOS, PCI и LVDS.
В серии MachXO реализованы разные уровни сложности: 256, 640, 1200 , 2280 (LUT).
Серия MachXO1200 и MachXO640 поддерживают одну или две ФАПЧ, а также один или три встроенных блока ОЗУ емкостью 9 Кбит.
В архитектуре сочетаются свойства защищенности энергонезависимой флэш-памяти с универсальностью SRAM. В архитектуру ранее выпущенных Прог. П серий EC и ECP добавляется флэш-память, увеличивая количество таблиц преобразования (LUT). Ячейки СОЗУ контролируют работу логики и загружаются с использованием флэш-памяти, находящейся на том же кристалле за 1 мс при включении питания, уменьшая вероятность подсматривания разрядных потоков. В микросхемах также предусматривается дополнительная возможность защиты, которая запрещает повторное считывание информации с SRAM и секций флэш-памяти. Решена также возможность помощи пользователям, которые имеют дело с одиночными сбоями посредством выполнения операции «зачистки» памяти и быстрого выполнения циклов. Обеспечивается стандартные решения для программирования микросхем . Например, может быть выполнено конфигурирование микросхем с использованием интерфейсов JTAG. В приборах также используются встроенные блоки ОЗУ и усовершенствованная буферная поддержка стандартов LVCMOS, LVDS, LVTTL, PCI, SSTL, HSTL. Предусмотрена также возможность упрощения конструкции посредством использования DDR памяти. Схемы серии LatticeXP изготавливаются с использованием 130 нм технологического процесса фирмы Fujitsu, медной металлизации, диэлектрического слоя с низким значением k. При этом размер кристалла на 80% меньше, чем кристалла для предшествующего поколения энергонезависимого CPLD. Источники питания варьируются в диапазоне 1,2; 1,8; 2,5; и 3,3В.

Добавлено (23.12.2015, 16:54)
---------------------------------------------

High Parformance 8 bit Microcontroller - KL5C8012
In 1994, a high parformance 8 bit microcontroller was shipped from Kawasaki Steel Corp.(LSI division), and it became a subject of
conversation. Well first released controller is the KL5C8012, it is
cored with Z80 compatible processor, and integrated with 40 bits of PIO,
a USART, three TIMER/COUNTER, a MMU and 512 byute of SRAM, packaged
with 100pin QFP.KL5C8012 FeaturesCore ProcessorKC82 (KC80+MMU)
Z80 instruction compatible Parallel Ports 40 bits
Some of them have alternative function Serial PortOne channel (8251 compatible)Timer/Counter5 channelsIntrerupt Controller16 levels (8 internal, 8 external)Extrenal BUS InterfaceAddress: 19 bits
Data: 8 bitsInternal RAM512 bytes othersA clock generator, Debugging InterfaseElectrical CharacteristicsOperating frequency: 0-10MHz
Power consumption: 125mW (@5.0V,10MHz)Package100pin QFPIn addition to KL5C8012, some types are also available, integrated with DMAC, DRAM controller, HDLC interface or separated core processor.Well, "Low power" and "High Speed" is one of the features of the KL5C80xx series. Surely these features are convincing.High Speed: The KC80 core processor runs four times faster than Z80 at same clock frequency, so that the KC80 running at 10 MHz has same
parformance as Z80-40MHz!!Low Power: When KL5C8012 operating at maximum frequency, its power consumption is only 125mW (5V,25mA).Number of execution clock cycles par instruction is depend to instruction byte length, most of clocks are spent to op-code feach and
memory op-rands access. And at the final cycle of every instructions,
next instruction code is fetched, so that one instruction is executed in
one clock cycle minimum. Thus KC80 realizing to run four times faster
than Z80 with reducing CPI.But it is also weak point that to reduce CPI, when one wait state is added, parformance will slow down in half :-(Techniques for tune upThe KL5C8012 has two different modes to connect external memory, connecting memory device with extrenal address decoder (maximum mode)
and connecting memory device directly (normal mode). At the normal mode,
timing designing seems easy. But it is very difficult on the timing
designing at higher operating frequency. Because the KL5C8012 running at
minimum of one clock par instruction, so that the memory access cycle
becomes executing in one clock cycle.Actualy, running at 10 MHz with no wait state, the access time for the ROM is requires TACC < 44ns and TOE < 22ns include decoder delay. In normal mode, it can directly
connects the memory IC, so that these timing value apply to the ROM. But
fast ROM is difficult to get.When insert one wait with the programable wait control unit, the timing values become TACC < 144ns and TOE < 122ns. But the KL5C8012 is very low CPI, One wait state makes slow down the operating speed in half.Secondly, consider to slow down the clock frequency with no wait state. When operating clock frequency slow downs to 6.144MHz, reauired
access timings become TACC < 75ns and TOE < 53ns. So normal ROM can be used.The KL5C8012 has 512 bytes of intrenal RAM without wait state. So locate stack or any variables into the intrenal RAM and running at
maximum clock frequency with one wait state may better way. This means
to concern memory usage and programing technique, so that necessaly to
make sure each case, that depends.NOTE: KL5C80A16 and KL5C80A20 do not have internal SRAM.
Nowadays 15-20ns class fast SRAM for cache memory is appearing on the market. When use it and copy from ROM to SRAM at the start up, it can
runs with no wait state at the high clock frequency. It can also use
like a Shadow ROM without any extanal components with remap SRAM into
ROM area with a MMU.Wait State ControllerFor the integrated wait controller, it can not particularly configures finely. Phyisical memory area is only separated in half, and
there is some limits on configureing for each area. For the memory
access, it can configures to 0 wait or 1+n wait. For the I/O access, it
can configures to 1 wait or 2+n wait. "n" is added with RDY input. And
KL5C8012 dose not has a DRAM reflesh controller, so connecting any DRAM
is difficult.NOTE: KL5C80A16 and KL5C80A20 has a DRAM controller, they can directly connect DRAMs.Handling for not used pinsKL5C8012 has internal pull-up resister for all I/O pins, so not used pins can be open state, and they will be fixed to "H" level.NOTE: KL5C80A16 and KL5C80A20 do not have any internal pull-up.Technical DataFor datails of the KL5C8012 and its families, please refer to the documents as follows.
  • KL5C8012/KL5C80A12 Hardware Manual, Kawasaki Steel Corp.
  • KL5C8012 Application Notes, Kawasaki Steel Corp.
  • KL5C80A16 Hardware Manual, Kawasaki Steel Corp.
  • KL5C80A20 Hardware Manual, Kawasaki Steel Corp.
  • Transister Gijutsu Special No.59 "Learning the microcomputer with the latest Z80", CQ publishing

    архив документации
    Прикрепления: KL5C8012.zip (429.4 Kb)


    Spectrum жив в нашей душе навсегда

    Сообщение отредактировал Hazar - Пятница, 25.12.2015, 08:35
  •  
    AndyDДата: Понедельник, 21.11.2016, 22:56 | Сообщение # 10
    01h
    Группа: Пользователи
    Сообщений: 1
    Статус: Offline
    Для коллекции есть интересный контроллер построенный на ядре Z80 ,TOSHIBA TMP90C041N, http://datasheets.chipdb.org/Toshiba/90C041/TMP90C041ADS.pdf
    CMOS 8–Bit MicrocontrollersTMP90C041N/TMP90C041F1.
    Outline and CharacteristicsThe TMP90C041A is a high-speed advanced 8-bit microcontroller applicable to a variety of equipment.
    With its 8-bit CPU, A/D converter,
    multi-function timer/ event counter and general-purpose serial interface integrated into a single CMOS chip,
    the TMP90C041A allows the expansion of external memories for programs (up to 64K byte) and data(1M byte).
    The TMP90C041AN is a 64-pin shrink DIP product.(SDIP64-P750)The TMP90C041AF is a 64-pin flat package product.(QFP64-P1420A)
    The characteristics of the TMP90C041A include:
    (1)Powerful instructions: 163 basic instructions, including Multiplication, division, 16-bit arithmetic operations, bit manipulation instructions
    (2)Minimum instruction executing time: 250ns (at 16MHz oscillation frequency)
    (3)Memory expansionExternal program memory: 64K byteExternal data memory: 1 Mbyte
    (4)8-bit A/D converter (6 channels)
    (5)General-purpose serial interface (1 Channel)Asynchronous mode, I/O interface mode
    (6)Multi-function 16-bit timer/event counter (1 channel)
    (7)8-bit timers (4 channels)
    (8)Stepping motor control port (2 channels)
    (9)Input/Output ports (28 pins)
    (10)Interrupt function:10 internal interrupts and 4 external interrupts
    (11)Micro Direct Memory Access (μDMA) function (11 channels)
    (12)Watchdog timer
    (13)Standby function (4 HALT modes)

    Может кто видел более подробный даташит?
    Прикрепления: 9960451.jpg (101.6 Kb)


    Сообщение отредактировал AndyD - Понедельник, 21.11.2016, 23:22
     
    Black_CatДата: Вторник, 22.11.2016, 12:18 | Сообщение # 11
    Координатор
    Группа: Координаторы
    Сообщений: 713
    Статус: Offline
    Цитата AndyD ()
    Для коллекции есть интересный контроллер построенный на ядре Z80 ,TOSHIBA TMP90C041N

    Разве что для коллекции - IORQ, MREQ, M1 - нет, внутренние I/O в адресном пространстве ОЗУ. В Спектруме однозначно не применить.


    "Трудно найти чёрную кошку в тёмной комнате.. ...особенно, если её там нет", "Forever!".
    "Я никогда не причиняю им зла. Я говорю им правду, и они думают, что это - зло."
    Гарри Трумэн
     
    Soviet Union ZX Spectrum Community » ZX-строительство » Концепции » Перевод ZX Spectrum на новый CPU
    • Страница 1 из 1
    • 1
    Поиск:

    Copyright MyCorp © 2006Сайт управляется системой uCoz